Learn how to achieve design closure more efficiently and productivelyby using the three pillars of design closure (functional closure, timingclosure, and power closure). Also learn how to solve functionalbehavior, timing, and power simultaneously to achieve fastertime-to-market results.
The emphasis of this course is on:
Defining what design closure is and describing the three pillars ofdesign closure (functional closure, timing closure, and powerclosure)
Using recommended coding techniques
Creating a test bench and running simulation for functionalverification
Applying initial design checks and reviewing timing summary andmethodology reports for a design
Using baselining to verify that a design meetstiming goals andapplying the guidelines described in the baselining process
Performing quality of results (QoR) assessments at differentstages to improve the QoR score
Reviewing the importance of power closure and device selection
Estimating power consumption by using the Vivado® DesignSuite Power Report utility and performing power optimization on adesign
Identifying Versal® ACAP power and thermal solutions
Utilizing architecture features to improve a design's powerconsumption
What's New for 2023.2
New module: Versal Adaptive SoC: Timing Closure Techniques.
All labs have been updated to the latest software versions.
Level
FPGA 2
Course Duration
2 days
Who Should Attend?
Software andhardware developers, system architects, and anyone who wants to learn about design closuretechniques related to functional, timing, and power closure.
Prerequisites
Basic knowledge of FPGA and SoC architecture and HDL coding techniques.
Basic knowledge of the Vivado® Design Suite.
Software Tools
Vivado Design Suite 2023.2.
Hardware
Architecture: UltraScale™ FPGAs and Versal adaptive SoCs.
Skills Gained
After completing this comprehensive training, you will have the necessary skills to:
Describe what is design closure is as well asits three pillars.
Create a test bench and run simulation for functional verification.
Resolve setup and hold violations by reducing logic delay and netdelay.
Improve clock skew and clock uncertainty.
Identify clock domain crossings (CDC) and scenarios that requiresynchronization circuits.
Perform QoR assessment at different stages and improve theQoR score.
Implement Intelligent Design Runs (IDR).
Apply the power closure flow for better time to market.
Estimate power consumption by using the Xilinx Power Estimator(XPE).
Describe Versal ACAP power and thermal solutions.
Perform power optimization on a design.
Course Outline
Day 1
Introduction
Introduction to Design Closure Defines what design closure is and identifies the three pillars ofdesign closure.{Lecture}
Functional Closure
HDL Coding Techniques Covers basic digital coding guidelines used in an FPGA design.{Lecture}
Platform Creation for the Versal ACAP Reviews the different Versal ACAP design flows and covers the platform creation process using the Vivado IP integrator, RTL, HLS, and Vitis™ environment. {Lecture}
Behavioral Simulation Describes the process of behavioral simulation and the simulationoptions available in the Vivado IDE. {Lecture}
Creating a Test Bench Describes the design components of a test bench, the differenttest bench types and how a self-checking test bench can beconstructed. {Lecture}
Timing Closure
Static Timing Analysis (STA) Describes the clock and its attributes, basics of clock gating, andstatic timing analysis (STA). {Lecture}
UltraFastDesign Methodology Timing Closure Provides an overview of the various stages of the UltraFastDesign Methodology for timing closure. {Lecture}
Baselining Demonstrates the performance baselining process, which is aniterative approach to incrementally constrain a design and meettiming. {Lecture, Lab}
Setup and Hold Violation Analysis Covers what setup and hold slack are and describes how toperform input/output setup and hold analysis. {Lecture}
Reducing Logic Delay Describes how to optimize regular fabricpaths and paths withdedicated blocks and macro primitives. {Lecture}
Reducing Net Delay Reviews different techniques to reduce congestion and net delay.{Lecture, Lab}
Improving Clock Skew Describes how to apply various techniques to improve clockskew. {Lecture}
Improving Clock Uncertainty Reviews various flows for improving clock uncertainty, including using parallel BUFGCE_DIV clock buffers, changing MMCM or PLL settings, and limiting synchronous clock domain crossing (CDC) paths. {Lecture, Lab}
QoR Reports Overview Describes what quality of result (QoR) is and how to analyze theQoR reports generated by the Vivado IDE. {Lecture, Lab}
Day 2
Timing Closure (continued)
Clock Domain Crossing (CDC) and Synchronization Circuits Explains what clock domain crossings (CDC) are and the scenarios that require synchronization circuits. {Lecture, Lab}
Intelligent Design Runs (IDR) Introduces Intelligent Design Runs (IDR), which are special typesof implementation runs that use a complex flow to attempt toclose timing. {Lecture, Lab}
Power Closure
Understanding Design Power for Better Time to Market Outlines the types of design power, describes the power closureflow, and identifies methods for bringing down the power of adevice. {Lecture, Lab}
Xilinx PowerEstimator Spreadsheet Demonstrates how to estimate the amount of resources anddefault activity rates for a design and evaluate the estimatedpower calculated by XPE. {Lecture, Lab}
Versal ACAP: Power and Thermal Solutions Discusses the powerdomains in the Versal ACAP as well aspower optimization and analysis techniques. Thermal designchallenges are also covered. {Lecture}
Design Power Constraints Describes what design power constraints are and how to use thePower Constraints Advisor tool.Power rail constraints are alsocovered. {Lecture}
Power Management Techniques Identifies techniques used for low power design. {Lecture}
Power Analysis and Optimization Using the Vivado Design Suite Covers how to use report power commands to estimate powerconsumption. {Lecture, Lab}
Request
Reservations can no longer be made for this event.